Finger-Vein database from the University of Twente

Finger-Vein database from the University of Twente

Search experiments

train

Output name Data format
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)

dev_templates

Output name Data format
template_id: system/text/1 (Basic format containing a text)
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)

dev_probes

Output name Data format
template_ids: system/array_1d_text/1
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
probe_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)
Search experiments

train

Output name Data format
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)

dev_templates

Output name Data format
template_id: system/text/1 (Basic format containing a text)
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)

dev_probes

Output name Data format
template_ids: system/array_1d_text/1
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
probe_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)

test_templates

Output name Data format
template_id: system/text/1 (Basic format containing a text)
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)

test_probes

Output name Data format
template_ids: system/array_1d_text/1
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
probe_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)
Search experiments

train

Output name Data format
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)

dev_templates

Output name Data format
template_id: system/text/1 (Basic format containing a text)
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)

dev_probes

Output name Data format
template_ids: system/array_1d_text/1
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
probe_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)

test_templates

Output name Data format
template_id: system/text/1 (Basic format containing a text)
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)

test_probes

Output name Data format
template_ids: system/array_1d_text/1
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
probe_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)
Search experiments

train

Output name Data format
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)

dev_templates

Output name Data format
template_id: system/text/1 (Basic format containing a text)
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)

dev_probes

Output name Data format
template_ids: system/array_1d_text/1
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
probe_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)

test_templates

Output name Data format
template_id: system/text/1 (Basic format containing a text)
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)

test_probes

Output name Data format
template_ids: system/array_1d_text/1
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
probe_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)
Search experiments

train

Output name Data format
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)

dev_templates

Output name Data format
template_id: system/text/1 (Basic format containing a text)
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)

dev_probes

Output name Data format
template_ids: system/array_1d_text/1
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
probe_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)

test_templates

Output name Data format
template_id: system/text/1 (Basic format containing a text)
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)

test_probes

Output name Data format
template_ids: system/array_1d_text/1
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
probe_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)
Search experiments

train

Output name Data format
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)

dev_templates

Output name Data format
template_id: system/text/1 (Basic format containing a text)
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)

dev_probes

Output name Data format
template_ids: system/array_1d_text/1
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
probe_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)

test_templates

Output name Data format
template_id: system/text/1 (Basic format containing a text)
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)

test_probes

Output name Data format
template_ids: system/array_1d_text/1
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
probe_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)
Search experiments

train

Output name Data format
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)

dev_templates

Output name Data format
template_id: system/text/1 (Basic format containing a text)
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)

dev_probes

Output name Data format
template_ids: system/array_1d_text/1
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
probe_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)

test_templates

Output name Data format
template_id: system/text/1 (Basic format containing a text)
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)

test_probes

Output name Data format
template_ids: system/array_1d_text/1
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
probe_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)
Search experiments

train

Output name Data format
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)

dev_templates

Output name Data format
template_id: system/text/1 (Basic format containing a text)
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)

dev_probes

Output name Data format
template_ids: system/array_1d_text/1
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
probe_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)

test_templates

Output name Data format
template_id: system/text/1 (Basic format containing a text)
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)

test_probes

Output name Data format
template_ids: system/array_1d_text/1
client_id: system/text/1 (Basic format containing a text)
file_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
probe_id: system/uint64/1 (Basic format containing only a single unsigned integer (64 bits) value)
image: system/array_2d_uint8/1 (Two-dimensional array of 8 unsigned integer values)

This database is Public

Sharing for databases is controlled exclusively by platform administrators. Get in touch if you'd like to change access control for databases hosted on this platform.

Terms of Service | Contact Information | BEAT platform version 2.2.1b0 | © Idiap Research Institute - 2013-2024